基于FPGA/SPI技术的某型导弹检测模拟训练系统研究论文

基于FPGA /SPI技术的某型导弹检测 模拟训练系统研究

赵东华,赵长啸,徐建国,张 华

(陆军工程大学 军械士官学校弹药导弹系, 武汉 430075)

摘要 :研制一套由FPGA控制系统、SPI网络传输数据系统和接口电路组成的导弹模拟检测适配器系统,采用Visual Studio 2013软件编写电信号数据库,通过FPGA控制网络传输模块实时将电信号传送给接口电路,实现数据与检测仪的对接。适配器采用开放性、组合性设计,具有信号编辑、训练多样、多型号拓展等特点,对导弹检测训练具有重要意义。

关键词 :FPGA/SPI;导弹检测;适配器

某型导弹检测作业是导弹质量监控、储存延寿的一项重要工作。由于该型导弹检修程序繁琐,对安全性、规范性提出较高的要求,部队有关技术人员要达到岗位技能,必须进行大量培训和训练。目前在对导弹检测维修训练时,实弹检测训练安全性得不到保证,检测时一般只能显示正确(单一)检测结果,训练效果不够理想,不利于培训人员掌握和提高检测维修技能。

为提高部队导弹检测人员技能水平,提高检测训练效率,有必要开发一套模拟导弹检测训练并与检测仪对接的适配器系统。该系统基于FPGA可编程逻辑控制技术,利用Visual Studio软件编写电路信号数据库,采用网络通信SPI进行信号控制传输,以适配器模拟导弹信号输出,实现与导弹检测仪的配合及操作;还可以通过改变电路信号数据库,产生故障信号,实现故障模式训练,克服实弹检测安全性不足和训练模式单一问题,提高检测技术人员技能和数据判断分析能力。系统操作和实弹基本一致,开发成本低,周期短,适合部队检测人员训练使用[1]

1 检测模拟信号需求分析

某型导弹主要采用激光驾束制导方案,依据地面发射平台导引实现精确打击目标,发射之前、储存时都需要定时进行检测,检测设备为配发的制式检测仪。检测流程为检测仪先进行自检,自检正确后,用检测电缆连接导弹,通过转换开关信号,对导弹进行检测,检测仪依次向导弹输入“0”、“-1”、“+1”三种指令信号,测试导弹对指令信号电路的处理情况,返回放大、滤波后等指令电压U Z 和U Y 值信号,返回舵机反馈电位器的电压值,以测试导弹电路的正确性。

这些指令信号分别从炮射导弹舵机舱检测插座(接口)送给检测仪。通过分析指令信号,其中指令信号是模拟信号,而且都是低压信号,幅度不超过±15 V,所以适配器系统设计内部电源、放大器电源定为15 V。在系统内部可以通过电路模拟产生上述信号,通过FPGA控制逻辑程序即可,并且还可以模拟产生超标的模拟信号,促使检测设备相应故障信号灯亮,转为故障模式训练,提高检测人员故障判别技能。

2 系统硬件组成

系统包括FPGA控制模块、适配器模块、网络传输模块和主控计算机四个部分组成。基于FPGA/SPI设计的模拟检测适配器系统主要用来完成导弹电路参数模拟检测教学训练,通过主控计算机设置正常检测、故障检测状态,主控计算机软件产生相应的电信号波形,FPGA控制系统接受导弹检测仪的指令参数,按照流程控制系统SPI通讯模块将电路信号波形数据传输给适配器模块,适配器航空插座与导弹一致,将模拟产生的电路参数送给检测仪,检测仪产生相应的信号指示,供检测人员判断。具体硬件组成如图1所示。

8月12日,中共中央办公厅、国务院办公厅印发《关于设立统一规范的国家生态文明试验区的意见》,明确将江西列为首批国家生态文明试验区,探索形成可在全国复制推广的成功经验。从“先行示范区”到“试验区”,意味着江西在全国生态文明建设格局中的地位进一步提升。

(1)加强耕地保护。要严格保护现有耕地,进一步提高农业综合力,提高单位土地面积生态服务价值和生物产量,并从严控制建设占用耕地。

2.2 GLP-2调节糖、脂代谢 Khan等[24]的体外研究发现,GLP-2R在鼠和人β-细胞、鼠α细胞和离体小鼠胰岛上均有表达;GLP-2R能抑制链佐霉素引起的人1.14β细胞的DNA损伤,但对促进其增殖的能力较弱。但其体内研究[24]发现,GLP-2对小鼠胰岛β细胞及离体小鼠胰岛的胰岛素分泌无影响。而Baldassano等[25-26]发现,GLP-2可促进高脂饮食小鼠胰岛素分泌,减轻高脂肪饮食小鼠的糖、脂代谢紊乱。因此,GLP-2可能对糖、脂代谢平衡起保护作用,进而调节骨质疏松;故GLP-2可能适用于骨质疏松伴高脂血症或糖代谢紊乱的患者。

图1 硬件组成

1) FPGA控制模块:系统的工作和通讯都是基于FPGA设计,采用Xilinx公司生产的Spartan系列FPGA。FPGA (Field-Programmable Gate Array)为现场可编程门阵列,内部含有丰富布线资源以及

可编程输入输出单元,还有大量的可配置逻辑,在小批量、低成本系统上提高系统集成度、可靠性具有强大的优势。FPGA芯片外围控制电路设计了FPGA芯片的电源供应、晶振供应、外接接口以及FPGA电容去耦等。FPGA主时钟根据verilog代码的优化效果,FPGA可以使用尽可能快的时钟,使速度达到最快。在本系统中,因为对速度的要求并不大,只要能实现网络的实时传输功能。因此,在FPGA的时钟上,采用50MHz晶振实现。FPGA控制系统接收到检测仪通过适配器接口传送的指令信号、放大器检测指令、反馈电位器指令,然后根据这些指令控制网络传输模块实时通讯,下载主控计算机软件编辑的电信号数据库,模拟产生“0”、“-1”、“+1”三种指令的Uy 、Uz 信号、放大器电压信号、反馈电位器电压信号等信号,其控制信号流程框图如图2所示[2]

图2 FPGA控制信号流程框图

采用故障树分析方法对检测弹故障进行分析设计是针对复杂系统故障性分析最适合的方法,故障树分析方法把系统不希望发生的事件(模拟导弹故障状态)作为故障树的顶事件,用规定的图形符号来表示,并找出导致这一不希望发生事件的所有可能的直接因素(包括硬件、软件、环境、人为因素等)和原因,它们是处于过渡状态的中间节点,并由此逐步深入分析,直到找到事件的基本原因,即故障树的底事件为止。某型导弹故障性分析如图5所示。

设备使用前,教员通过分析和测试各种故障状态下的各节点的输出波形,并通过故障编辑软件录入故障状态数据库中。

3) 适配器模块。该模块共有20块电路板组成,每块电路板由FPGA及其外围电路、DAC芯片组成。FPGA控制DAC芯片将网络芯片数字信号转换为波形信号,每一块DAC模块电路板输出信号引出导线至适配器19芯接口。每个DAC模块可以存储七种不同型号的波形数据,根据软件系统、网络系统指令输出相应的电压信号波形。DAC芯片将接收的2进制数据转换为模拟波形数据,并由运算放大器将电压放大后输出。项目采用DAC7731E芯片,该芯片为10位分辨率芯片,精度高。每个DAC模块受FPGA控制,采用SPI总线传输方式提供了一种组合式系统方案,同一条SPI总线上可以挂接任意数量(小于256)的DAC模块,每个DAC模块可存储7组波形数据,同时存储其他节点的工作状态。当接收到主控计算机的命令时,SPI总线可以将不同的数据发送到正确的位置,使得每一块DAC模块输出正确的波形数据送给适配器检测接口[4-5]

4) 主控计算机。主控计算机用于管理各DAC模块的输出波形数据,提供操作软件,实现与网络通讯模块的通讯。

目前,我国分布式光伏发电集成系统由于占地面积相对较大,从而导致逆变器以及控制装置的数量相对较多,线路的串联和并联均相对复杂,系统故障发生率相对较高。因此,有必要针对系统设计和施工环节进行优化,从而降低系统的故障发生率。

3 系统软件设计

故障编辑采用Microsoft公司的Visual Studio 2013软件编写。教练员通过系统软件设置当前正常、故障波形数据,FPGA及通讯模块通过SPI将当前正常、故障的波形数据传输给各个DAC模块,进而送给适配器与检测仪连接接口。软件结构框图如图3[3,6]

图3 软件结构框图

故障设置用于设置当前的故障状态,准备分发数据时先点击启动服务器按钮,则数据库就会将各个节点的波形分发下去。

电信号编辑用于编辑各种检测模式(正常、故障)状态下输出的波形。每个DAC模块预存7个波形,共20个DAC模块。编辑好的电信号波形也可以根据需要进行修改;

2) 软件故障编辑模块

1) 软件电信号编辑模块

一个原因是大众不了解,这种补充剂本来效果就是泛泛的,没有明显的症状,你说自己吃咀嚼片免疫力更强了,皮肤更白了,我也无从反驳。保健品起的主要还是个心理作用。

自发表以来,日本的井上红梅、佐藤春夫、竹内好、增田涉、高桥和巳、松枝茂夫等十多人纷纷翻译过《故乡》。使得该作品在日本广泛传播,至今仍被收录进日本的中学教材。本文选取了井上红梅译本和竹内好译本作为研究对象。

打开软件,输入账户密码(初始密码定为“ZZK”),点击登陆系统,则进入到软件主菜单,根据具体故障电路分析编辑需要产生的不同类型的波形。如编辑正弦波形,在“生成类型”中选择“随机生成”,然后在“更多波形”中选择“正弦波波形”,并输入正弦波的各种波形参数,然后保存设置,则可在“随机波形”一栏中看到编辑好的正弦波形的预览。电信号编辑模块提供的常用电信号包括方波、周期波、三角波、直流信号等,还可以手动绘制各种波形。如图4所示。

图4 电信号编辑模块

设备使用前,使用人员通过分析和测试各种故障状态下19芯检测接口的输出波形,并通过故障编辑软件录入故障状态数据库中。训练过程中,通过故障设置界面选择当前检测模式(正常、故障)状态,软件将存储在数据库中的各电信号波形数据下载至训练弹19芯检测接口。

2) 网络传输模块。该模块主要基于FPGA芯片和W5300网络芯片开发,负责与控制系统计算机进行网络通信,采用TCP/IP协议,同时将计算机接收到的波形数据通过SPI总线传输给DAC模块,是主控计算机与各个DAC模块之间的连接枢纽。W5300芯片是一款集成TCP/IP协议的网络芯片,FPGA驱动网络芯片实现以太网通信功能。网络驱动组成包括初始化、数据接收、数据发送、中断处理等模块。在硬件系统上电后,网络初始化模块开始工作,网络驱动进入初始化流程,初始化过程是使芯片达到系统所需工作状态的前提。初始化主要设置主机的接口、配置芯片网络信息、配置内部TX/RX存储空间等;初始化结束后,芯片进入等待控制流程,等待FPGA的控制信息;检测仪将指令信号数据发送给FPGA时,FPGA发送数据信息到网络芯片,FPGA进入发送数据操作流程,将数据送入网络芯片内部,网络芯片将其打包发送给主控计算机;主控计算机有网络信息送给网络芯片时,网络芯片产生中断,FPGA接收到中断信息,给接收数据信息到网络芯片,FPGA进入接收数据操作流程,将数据从网络芯片内部读出。

图5 某型导弹故障树

故障设置用于设置当前的故障状态,准备分发数据时先点击启动服务器按钮,则数据库就会将各个节点的波形分发下去。

故障编辑模块就是根据上述7种故障状态进行编辑。首先新建故障方案,具体方法为:单击“新建方案”,然后在“方案名称”和“方案描述”中输入故障的名称和内容,最后选择默认波形和硬件节点数,点击确定。故障方案编辑修改完毕后,在“选择方案”中找出需要加载的方案,然后单击“加载方案”,则将所选择的方案加载了进来,然后进入各方案电信号编辑模式。具体如图6所示。

图6 故障编辑界面

其中E1为放大器反馈电位器部件故障、E2为放大器部件故障、E3为反馈电位器部件触点连接不好、E4为反馈电位器部件损坏、E5为综合器故障、E6为触发器故障、E7为功率放大器、E8为滤波器故障、E9为综合器功能性损坏、E10为综合器外连触点脱落、E11为触发器功能性损坏、E12为触发器外连触点脱落、E13为功率放大器损坏、E14为功率放大器没有信号产生、E15为滤波器外连触点脱落、E16为滤波器没有信号产生、E17为电子装置没有产生反馈信号、E18为反馈电位器电阻故障、E19为电子装置故障、E20为电子装置输出信号超标、E21为电子装置接收信号不在规定范围、E22为指令信号超标、E23为门限电路故障、E24为信号处理电路故障。通过事故树定性分析,还可以通过求最小割集、径集等方法对某型导弹进行故障性分析,取概率发生最大7种故障模式的最小集组合为{E9、E11、E13、E15、E21、E23、E18}。每一种模式都会导致顶事件的发生,它们是某型导弹容易发生损坏的元部件和故障模式,可以导致检测设备产生“故障”信号灯亮等现象。

浙江省的果品资源丰富,具有悠久的栽培历史,同一类水果可能横跨几个市成为优势产区,同一个市甚至同一个乡镇都有可能有好几种优秀的果树资源。浙江农业厅在2011年和2012年都发布了“浙江省杨梅节庆活动和采摘观光游列表”,将全省的不同市(区、县)的杨梅采摘地点、时间、内容进行整合,人们可以在不同的成熟期吃到不同品种的杨梅,这是一种省级合作的方式;浙江省2012四季鲜果采摘游的35条线路也是利用临近的地点的优势整合采摘资源的方式;2009年推出的20条休闲观光农业线路将观光采摘点与旅游景点结合,既带动了旅游业,也丰富了采摘之旅。总之,连点成线整合观光采摘资源是今后观光采摘节庆实现省级合作的趋势。

教学过程中,教员根据教学内容通过故障设置界面选择当前故障状态,软件将存储在数据库中的各节点的波形数据下载至各仿真DAC模块中。

设置好正常、故障状态后,训练人员将系统与配套检测仪连接,通过观察检测仪显示信号,判断检测指标,达到训练技能目的。教员根据学生的表现进行打分。软件电路编辑界面如图7所示。

图7 软件编辑电信号界面

4 系统验证和试验

系统验证和试验表面,该导弹检测仪适配器系统对信号指标的模拟能够驱动检测仪信号显示,能够产生正确和故障的驱动信号,实现了人工编辑信号、自动建库、模拟故障的导弹检测模拟,具有故障训练、数据显示判读和操作模拟功能,信号产生的指标利用示波器检测误差在2%以内。通过对系统软件正常模式对接检测仪,检测指示灯绿色灯亮,代表模拟产生的电压正常驱动检测仪系统;选择“0”指令故障模式,在软件编辑信号+10V电压,则在检测仪系统可以观测到“0”指令指示灯红灯亮,代表导弹存在故障,不能用于射击。

验证结果表面该系统操作简单,训练效能显著,安全性好,模拟检测效能高,故障模式训练驱动准确,信号编辑方便,开放性、组合性设计具有多种导弹检测模拟训练的适应性,达到了对导弹检测手要求高、安全风险大、数据判别要求高的训练目的。

第六,加强肠道的调理。养鸡就是养肠道,肠道的健康程度决定了鸡群的营养吸收能力和抗病水平的高低,肠道微生态系统的稳定关系重大,根据鸡群的肠道状况,适当的添加微生态制剂,用以调节肠道菌群平衡,提高饲料的消化利用率,减少肠道有害菌的数量,进而达到降低料肉比和料蛋比,减少肠道疾病,提高经济效益的目的。

5 结论

1) 本项目开发的基础性平台可以拓展到多种导弹检测训练,集成为一种综合性的训练平台。

2) 在控制系统软件设计、信号传输和处理模块等关键技术设计上采用开放性、组合性设计,基于控制系统、FPGA技术和SPI通讯传输技术把数据传输和控制固化下来,控制系统软件可以人工修改故障方案、人工输入编辑波形,得到各种波形组合,产生的数据库通过传输模块和处理模块送给外设接口。

3) 只需稍微改变检测接口并在软件界面手工编辑数据库,即可将这些信号波形组合利用到多种导弹检测训练平台,系统的开放性设计具有重要的应用价值。

参考文献:

[1] 杨博,王宏柯.通用导弹检测模拟训练系统并行设计实现[J].计算机测量与控制,2012,20(7):1904-1909.

[2] 李正优,高玉水.某型导弹快速检测检校研究[J].装甲兵工程学院学报,2011,25(1):58-60.

[3] 林科,龙超,李平,等.基于FPGA的数据采集系统设计[J].微计算机信息,2009,25(10/2):166-167.

[4] 高玉水,张建元.基于SOPC技术的炮射导弹故障检测系统设计[J].火炮发射与控制学报,2011(3):81-83.

[5] 何德军.基于VXI总线的导弹综合检测系统实现技术[J].计算机测量与控制,2013,21(10).

[6] Eric Gould Testability Timeline[DB/OL].DSI International2004,http://www.Testability.com/referene/History.aspx,update June 16,2008.

Research on Adapter of Certain Missile Testing Instrument Based on FPGA /SPI

ZHAO Donghua, ZHAO Changxiao, XU Jianguo, ZHANG Hua

(Wuhan Ordnance Non-Commissioned Officer Academy, Wuhan 430075, China)

Abstract : The adapter composed on FPGA, computer software network data transmission technology and interface circuit was designed. The adapter writes database of electrical signal based on visual studio 2013 software and controls network data transmission transferring electrical signal to interface circuit by FPGA. The adapter realizes the connection on missile testing instrument and has the specialties of transform electrical signal, diverse training and good continuation because adopting the design of openness and compositionality. The adapter is important on the training of missile testing.

Key words : FPGA/SPI; missile testing; adapter

本文引用格式 :赵东华,赵长啸,徐建国,等.基于FPGA/SPI技术的某型导弹检测模拟训练系统研究[J].兵器装备工程学报,2019,40(1):192-195.

Citation format :ZHAO Donghua, ZHAO Changxiao, XU Jianguo, et al.Research on Adapter of Certain Missile Testing Instrument Based on FPGA/SPI[J].Journal of Ordnance Equipment Engineering,2019,40(1):192-195.

中图分类号 :TJ76

文献标识码: A

文章编号 :2096-2304(2019)01-0192-04

收稿日期 :2018-09-20;

修回日期: 2018-10-15

作者简介 :赵东华(1982—),男,E-mail:zhaodong_hua@163.com。

doi: 10.11809/bqzbgcxb2019.01.040

(责任编辑 唐定国)

标签:;  ;  ;  ;  ;  

基于FPGA/SPI技术的某型导弹检测模拟训练系统研究论文
下载Doc文档

猜你喜欢